LogicToX01Z

Conversion to X01Z

    LogicToX01Z

Library

Electrical/Digital/Converters

Description

Conversion of a nine valued digital input into a X01Z digital output without any delay according to IEEE 1164 To_X01Z function.

Conversion Table:

 input                  output
 'U' (coded by 1)       'X'  (coded by 2)
 'X' (coded by 2)       'X'  (coded by 2)
 '0' (coded by 3)       '0'  (coded by 3)
 '1' (coded by 4)       '1'  (coded by 4)
 'Z' (coded by 5)       'Z'  (coded by 5)
 'W' (coded by 6)       'X'  (coded by 2)
 'L' (coded by 7)       '0'  (coded by 3)
 'H' (coded by 8)       '1'  (coded by 4)
 '-' (coded by 9)       'X'  (coded by 2)

If the signal width is greater than 1 this conversion is done for each signal.

Parameters

LogicToX01Z_0

NameLabelDescriptionData TypeValid Values

mo_n

n

signal width

Scalar

LogicToX01Z_1

NameLabelDescriptionData TypeValid Values

mo_x

x

x

Structure

mo_x/fixed

fixed

Cell of vectors

true
false

mo_x/start

start

Cell of vectors

mo_y

y

y

Structure

mo_y/fixed

fixed

Cell of vectors

true
false

mo_y/start

start

Cell of vectors

Ports

NameTypeDescriptionIO TypeNumber

x

implicit

input

1

y

implicit

output

1